CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SINE WAVE VHDL

搜索资源列表

  1. VHDL-ROM4.基于ROM的正弦波发生器的设计

    0下载:
  2. 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 ,ROM-based design of the sine wave generator: 1. Sinusoidal waveform generator by the data storage module (ROM), wav
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-27
    • 文件大小:98211
    • 提供者:宫逢源
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. SPWM

    1下载:
  2. VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:7312
    • 提供者:zyb
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. sin125

    0下载:
  2. 用FPGA实现DDS的信号发生器(正弦波125kHz)-Using FPGA to achieve DDS signal generator (sine wave 125kHz)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:197270
    • 提供者:杜海明
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. daout-Sine-wave

    0下载:
  2. 正弦波的vhdl输出,使用VHDL编写的,已经通过调试-Sine wave output of the VHDL, the use of VHDL prepared already through debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:585901
    • 提供者:zhang
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. Sine

    0下载:
  2. 正弦波发生器,可以让大家学习正弦多种产生方法,可以设计具体电路-Sine wave generator, allowing them to learn the method for multiple sinusoidal, can design a specific circuit
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:1027758
    • 提供者:秦寅
  1. VHDL

    0下载:
  2. DDS产生正弦波(VHDL语言)用DDS产生3MHZ的正弦波,VHDL控制语言-DDS have a sine wave (VHDL language) 3MHZ generated by the DDS sine wave, VHDL control language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:640
    • 提供者:chenyubin
  1. signal

    1下载:
  2. verilog写的串口控制信号发生器,能通过用串口控制产生正弦波方波等信号-written in verilog serial control signal generator, can be generated using serial control, such as sine wave square wave signals
  3. 所属分类:SCM

    • 发布日期:2017-05-19
    • 文件大小:5519616
    • 提供者:ray
  1. FPGArealiztionofdigitalsignalprocessing

    0下载:
  2. 数字信号处理FPGA实现 实用程序和文件,有sine.exe ---输入宽度。输出对应的正弦波表 mif文件 csd.exe --- 寻找整数和分数的标准有符号数字量(canonical signed digit ,CSD)表达式程序 fpinv.exe --- 倒数计算浮点数表的程序 dagen.exe ---分布式算法文件生成HDL" onclick="tagshow(event)" class="t_tag">VHD
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-06
    • 文件大小:260196
    • 提供者:kevin
  1. 1024

    0下载:
  2. 用C写的mif文件正弦波数据文件,很好用的数据哦-Written by C sine wave data file mif file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4586
    • 提供者:liang
  1. sine_wave_generator_using_FPGA_implementation

    0下载:
  2. 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2190272
    • 提供者:陈振林
  1. fullsine

    0下载:
  2. This a code for sine wave generation in modelsim. The code is written in verilog. An LUT has to be added to this program to work completely.-This is a code for sine wave generation in modelsim. The code is written in verilog. An LUT has to be added t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:540
    • 提供者:Jithu
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. VHDL

    0下载:
  2. 利用VHDL实现任意函数发生器,包括方波、正弦波、三角波等。-The use of VHDL to achieve arbitrary function generator, including square, sine wave, triangle wave and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:40212
    • 提供者:陈海巍
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. sinbo

    0下载:
  2. 基于quartus II的正弦波发生器,可调频率相位,用其时序仿真即可显示,分模块设计的。有sin。mif文件.-Based quartus II of the sine wave generator, adjustable frequency and phase, with the timing simulation can show that sub-module design. A sin. mif file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:995764
    • 提供者:liyu
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com